Thiết Kế Bộ ALU 4 Bit | PhamThanh92

Nếu thấy bài viết hữu ích hãy like và share nó với bạn bè: 

Code mới tại đây 

Code :

library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_unsigned.all; entity alu is port( a : in STD_LOGIC_VECTOR(3 downto 0); b : in STD_LOGIC_VECTOR(3 downto 0); sel : in STD_LOGIC_VECTOR(1 downto 0); q : out STD_LOGIC_VECTOR(3 downto 0) ); end alu;

architecture alu of alu is begin with sel select q <= a+b when “00”, a-b when “01”, a and b when “10”, a or b when others; end alu;

Mô phỏng :hinh8

Liên lạc : Click

Chia sẻ:

  • X
  • Facebook
  • Tumblr
  • Email
Thích Đang tải...

Có liên quan

  1. MẠCH ALU CÒN THUC HIEN CAC PHEP TINH KHAC NUA(*,/ , XOR ,NOT) , THEO T CAN PHAI SU DUNG FUNCTION ĐỂ CHUYỂN THẬP PHÂN THÀNH NHỊ PHÂN, VÌ MÁY CHỈ THUC HIEN DƯỚI DANG NHỊ PHÂN MÀ KHI CHÚNG TA NHẬP VÀO LÀ SỐ THẬP PHÂN NÊN CẦN CHUYỂN ĐÔI, T CHẠY BÀI NÀY RÙI NHƯNG CHỨA RA. HELP ME!

    • cái bài này mình viết cho báo cáo thực hành thôi nên ko đủ các trường hợp ,hiện tại đang bận ôn thi nên chưa có thời gian update thêm code

    • câu hỏi đc trả lời bằng bài viết tại đây https://phamthanh92.wordpress.com/2013/08/10/thiet-ke-bo-alu-8-bit-dung-function/ hoặc vào đây để nhìn code đẹp hơn http://phamthanh.tk/alu-8-bit/

  2. cái mô phỏng chạy bằng soft j vậy? code là code ngôn ngữ j?

    • đây là ngôn ngữ mô tả phần cứng lập trình cho FPGA chạy bằng phầm mềm : Active-HDL_Student_Edition .và bạn có thể nạp code thực tế vào nano board 3000

  3. cho mình xem cái mạch mô phỏng đc kó lối mạch thế nào để nó thực hiện các chức năng toán học và logic

Trả lời Hủy trả lời

Email của bạn sẽ không hiển thị công khai. Các mục bắt buộc được đánh dấu *

Bình luận *

Tên *

Email *

Trang web

Nhắc email khi có bình luận mới.

Nhắc email khi có bài viết mới.

Δ

Điều hướng bài viết Thiết kế bộ so sánh 2 số nhị phân 4 bit DFF đồng bộ tín hiệu RST

Bài viết mới

  • Tổng hợp các bài viết về môn thiết kế hệ thống số
  • Tổng hợp các bài viết về môn thiết kế hệ thống
  • Alu 8 bit component
  • Thiết kế mạch điều kiển đèn giao thông
  • ALU 8 bit procedure
  • Thiết kế bộ ALU 8 bit dùng Function
  • Đề thi VHDL & Thiết kế hệ thống số – Đề 4
  • Đề thi VHDL & Thiết kế hệ thống số – Đề 3
  • Đề thi VHDL & Thiết kế hệ thống số – Đề 2
  • Đề thi VHDL & Thiết kế hệ thống số – Đề 1

Bài & Trang được đáng chú ý

  • Thiết kế mạch điều kiển đèn giao thông

Số lượng xem

  • 118 936 Lượt xem

//pagead2.googlesyndication.com/pagead/js/adsbygoogle.js

(adsbygoogle = window.adsbygoogle || []).push({ google_ad_client: "ca-pub-2925291633049781", enable_page_level_ads: true });

Trang này sử dụng cookie. Tìm hiểu cách kiểm soát ở trong: Chính Sách Cookie
  • Bình luận
  • Đăng lại
  • Theo dõi Đã theo dõi
    • PhamThanh92
    • Theo dõi ngay
    • Đã có tài khoản WordPress.com? Đăng nhập.
    • PhamThanh92
    • Theo dõi Đã theo dõi
    • Đăng ký
    • Đăng nhập
    • URL rút gọn
    • Báo cáo nội dung
    • Xem toàn bộ bài viết
    • Quản lý theo dõi
    • Ẩn menu
Đang tải Bình luận... Viết bình luận ... Thư điện tử (Bắt buộc) Tên (Bắt buộc) Trang web %d Tạo trang giống vầy với WordPress.comHãy bắt đầu

Từ khóa » Thiết Kế Mạch Alu 4 Bit