叶笑春-中国科学院大学-UCAS

[中文] [English]
  • Education
  • Experience
  • Publications
  • Students
General

Xiaochun Ye

Professor, ​Ph. D. Supervisor, Institute of Computing Technology

Email:yexiaochun@ict.ac.cn

Research Areas

Manycore Architecture, High Throughput Computing

Education

2004.07-2010.06, University of CAS, Computer Architecture, Ph. D.

Experience

Work Experience

2020.10-now Institute of Computing Technology, Professor

2014.10-2020.09 Institute of Computing Technology, Associate Professor

2010.07-2014.09 Institute of Computing Technology, Assistant Professor

Publications

Papers

[1]Duo Wang, Mingyu Yan, Xin Liu, Mo Zou, Tianyu Liu, Wenming Li, Xiaochun Ye and Dongrui Fan. A High-accurate Multi-objective Exploration Framework for Design Space of CPU. 60th ACM/IEEE Design Automation Conference (DAC) 2023

[2]Xiaocheng Yang, Mingyu Yan, Shirui Pan, Xiaochun Ye, and Dongrui Fan. Simple and Efficient Heterogeneous Graph Neural Network. in AAAI Conference on Artificial Intelligence (AAAI), 2023.

[3]Haiyang Lin, Mingyu Yan, Duo Wang, Mo Zou, Fengbin Tu, Xiaochun Ye, Dongrui Fan, Yuan Xie. Alleviating Datapath Conflicts and Design Centralization in Graph Analytics Acceleration. 59th ACM/IEEE Design Automation Conference (DAC). 2022.

[4]Gongjian Sun, Mingyu Yan, Duo Wang, Han Li, Wenming Li, Xiaochun Ye, Dongrui Fan, Yuan Xie. Multi-node Acceleration for Large-scale GCNs. IEEE Transactions on Computers (TC). 71(12): 3140-3152, 2022

[5]Rongliang Fu, Junying Huang, Haibin Wu, Xiaochun Ye, Dongrui Fan, Tsung-Yi Ho. JBNN: A Hardware Design for Binarized Neural Networks using Single-Flux-Quantum Circuits. IEEE Transactions on Computers (TC). 71(12): 3203-3214, 2022

[6]Xin Liu, Mingyu Yan, Lei Deng, Guoqi Li, Xiaochun Ye, Dongrui Fan, Shirui Pan, Yuan Xie. Survey on Graph Neural Network Acceleration: An Algorithmic Perspective. Proceedings of the 31st International Joint Conference on Artificial Intelligence (IJCAI). Vienna. 2022.

[7]Xinxin Wu, Zhihua Fan, Tianyu Liu, Wenming Li, Xiaochun Ye and Dongrui Fan. LRP: Predictive output activation based on SVD approach for CNNs acceleration, DATE 2022

[8]Dingyuan Cao, Mingzhe Zhang, Hang Lu, Xiaochun Ye, Dongrui Fan, Yuezhi Che, Rujia Wang. Streamline Ring ORAM Accesses through Spatial and Temporal Optimization. In the 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2021

[9]Mingyu Yan, Lei Deng, Xing Hu, Ling Liang, Yujing Feng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie. HyGCN: A GCN Accelerator with Hybrid Architecture. IEEE International Symposium on High Performance Computer Architecture (HPCA). 2020.

[10]Mingyu Yan, Xing Hu, Shuangchen Li, Abanti Basak, Han Li, Xin Ma, Itir Akgun, Yujing Feng, Peng Gu, Lei Deng, Xiaochun Ye, Zhimin Zhang, Dongrui Fan, Yuan Xie. Alleviating Irregularity in Graph Analytics Acceleration: a Hardware/Software Co-Design Approach. Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 2019.

[11]Yan Gao, Boxiao Liu, Nan Guo, Xiaochun Ye, Fang Wan, Haihang You, Dongrui Fan. C-MIDN: Coupled Multiple Instance Detection Network with Segmentation Guidance for Weakly Supervised Object Detection. IEEE International Conference on Computer Vision (ICCV), 2019.

[12]Dongrui Fan, Wenming Li, Xiaochun Ye, Da Wang, Hao Zhang, Zhimin Tang, Ninghui Sun. SmarCo: An Efficient Many-Core Processor for High-Throughput Applications in Datacenters. In the 24th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2018.

Students

已指导学生

贾瑞花 硕士研究生 085211-计算机技术

王国波 硕士研究生 081201-计算机系统结构

卢圣健 硕士研究生 085211-计算机技术

李丹峰 硕士研究生 085208-电子与通信工程

董睿 硕士研究生 085211-计算机技术

现指导学生

孙一鸣 硕士研究生 085400-电子信息

2013 © 中国科学院大学,网络信息中心.

Từ khóa » Ninghui Zhu