Học Thiết Kế Mạch Bằng VHDL Và Verilog Thông Qua Ví Dụ #1

Skip to content You are currently viewing Học thiết kế mạch bằng VHDL và Verilog thông qua ví dụ #1
  • Post author:Nguyễn Kiêm Hùng
  • Post category:Article / Đào tạo / Verilog / VHDL
  • Post comments:0 Comments

Bảng 5‑1 và Bảng 5‑2 miêu tả mạch trong Hình 5‑1 lần lượt bằng Verilog và VHDL.

VHDL vs. Verilog

Hình 5‑1. Sơ đồ mạch ví dụ 1

Bảng 5‑1. Miêu tả bằng Verilog cho mạch ví dụ 1

1

2

3

4

5

6

Module home_alarm (a,s,m);

Input [3:0] s;

Input m;

Output a;

Assign a = (s[0]| s[1]| s[2]| s[3]) & m;

endmodule

Bảng 5‑2.  Miêu tả bằng VHDL cho mạch ví dụ 1

1

2

3

4

5

6

Library ieee;

Use ieee.std_logic_1164.all;

Entity home_Alarm is

 Port (s: in std_logic_vector (3 downto 0);

         M: in std_logic;

         A: out std_logic);

End home_alarm;

Architecture dataflow_model of home_alarm is

Begin

  A <= (s(0) or s(1) or s(2) or s(3)) and m

End dataflow_model;

Tags: Dao tao, Verilog, VHDL

Share this content

  • Opens in a new window
  • Opens in a new window
  • Opens in a new window
  • Opens in a new window
  • Opens in a new window
  • Opens in a new window
  • Opens in a new window
  • Opens in a new window
  • Opens in a new window
  • Opens in a new window

Read more articles

Previous PostMã nguồn VHDL mạch giải mã mã nhị phân thành mã LED 7-đoạn Next PostVHDL vs. Verilog: Hệ thống an toàn số Post author avatar

Nguyễn Kiêm Hùng

Hung K. Nguyen studied “Electronic Engineering” in both his bachelor’s and master’s degrees at the Vietnam National University, Hanoi, Vietnam. He received the bachelor’s degree in 2003. After receiving his bachelor’s degree, He worked as an internship in the Research Center of Electronics and Telecommunications. In 2006, He received the master’s degree in electronic engineering from VNU University of Engineering and Technology (VNU-UET). Before pursuing his Ph.D’s degree, He worked as a researcher at the Laboratory for Smart Integrated Systems in VNU University of Engineering and Technology for two years. In 2008, He went to Southeast University, Nanjing, China to get his Ph.D degree. He received the Ph.D. degree in Microelectronics and Solid State Electronics from Southeast University in 2013. After got his Ph.D’s degree, He returned to VNU University of Engineering and Technology to continue his research in VLSI design. He works currently as an assistant professor and senior researcher at VNU Key Laboratory for Smart Integrated Systems. His research interests mainly include multimedia processing, reconfigurable computing, and SoC designs.

You Might Also Like

Read more about the article Hướng dẫn cài đặt phần mềm Keil uVision MDK-ARM và các packages cho bo mạch FRDM-KL46Z

Hướng dẫn cài đặt phần mềm Keil uVision MDK-ARM và các packages cho bo mạch FRDM-KL46Z

24/01/2021
Read more about the article {Ebook} Thiết kế hệ thống nhúng với vi điều khiển Cortex-M

{Ebook} Thiết kế hệ thống nhúng với vi điều khiển Cortex-M

22/12/2021
Read more about the article {KLTN}NGHIÊN CỨU, THIẾT KẾ HỆ THỐNG IOT GIÁM SÁT CHẤT LƯỢNG KHÔNG KHÍ VÀ CẢNH BÁO NGƯỜI DÙNG TẠI NHÀ

{KLTN}NGHIÊN CỨU, THIẾT KẾ HỆ THỐNG IOT GIÁM SÁT CHẤT LƯỢNG KHÔNG KHÍ VÀ CẢNH BÁO NGƯỜI DÙNG TẠI NHÀ

31/03/2024

Trả lời Hủy

CommentEnter your name or username to comment Enter your email address to comment Enter your website URL (optional)

Lưu tên của tôi, email, và trang web trong trình duyệt này cho lần bình luận kế tiếp của tôi.

Δ

TÌM BÀI VIẾT

STEM EDUCATION FANPAGE

THEO DÕI KÊNH YOUTUBE

BÀI VIẾT MỚI

  • {KLTN} THIẾT KẾ HỆ THỐNG NHÚNG SỬ DỤNG HỌC SÂU ĐỂ PHÁT HIỆN ĐIỀU KIỆN THỜI TIẾT BẤT THƯỜNG ỨNG DỤNG CHO XE TỰ HÀNH 22/09/2024
  • {KLTN} THIẾT KẾ MỨC RTL VÀ THỰC HIỆN PHẦN CỨNG TĂNG TỐC NHÂN CHẬP 2D HIỆU NĂNG CAO CHO MẠNG CNN 14/09/2024
  • {KLTN} NGHIÊN CỨU, THIẾT KẾ VÀ CHẾ TẠO THIẾT BỊ HIỂN THỊ HÌNH ẢNH 3D 24/08/2024
  • {KLTN} THIẾT KẾ MỨC RTL VÀ THỰC HIỆN BỘ TĂNG TỐC PHẦN CỨNG CHO PHÁT HIỆN BIÊN 17/08/2024
  • Công thức thành công 29/06/2024

PHẢN HỒI GẦN ĐÂY

  • Nguyễn Kiêm Hùng trong {Ebook} Thiết kế hệ thống nhúng với vi điều khiển Cortex-M
  • Ngọc Hưng trong {Ebook} Thiết kế hệ thống nhúng với vi điều khiển Cortex-M
  • ivistroy.ru trong Tạo ứng dụng Android giao tiếp với cơ sở dữ liệu Firebase
  • 카지노사이트제작 trong Giao diện ghép nối SPI
  • Tạo ứng dụng Android giao tiếp với cơ sở dữ liệu Firebase - STEM Education trong Điều khiển LED qua IoT bằng Google Firebase và ESP8266

LƯU TRỮ

LƯU TRỮ Chọn tháng Tháng Chín 2024 (2) Tháng Tám 2024 (2) Tháng Sáu 2024 (2) Tháng Tư 2024 (2) Tháng Ba 2024 (3) Tháng Hai 2024 (1) Tháng Mười Một 2023 (1) Tháng Bảy 2023 (3) Tháng Sáu 2023 (1) Tháng Ba 2023 (2) Tháng Một 2023 (3) Tháng Mười Hai 2022 (5) Tháng Mười Một 2022 (1) Tháng Chín 2022 (2) Tháng Ba 2022 (2) Tháng Mười Hai 2021 (1) Tháng Mười 2021 (3) Tháng Chín 2021 (1) Tháng Tám 2021 (1) Tháng Sáu 2021 (2) Tháng Năm 2021 (3) Tháng Tư 2021 (1) Tháng Ba 2021 (2) Tháng Hai 2021 (1) Tháng Một 2021 (5) Tháng Mười Hai 2020 (1) Tháng Chín 2020 (1) Tháng Tám 2020 (2) Tháng Bảy 2020 (2) Tháng Năm 2020 (6) Tháng Tư 2020 (3) Tháng Ba 2020 (10) Tháng Hai 2020 (8) Tháng Một 2020 (6) Tháng Mười Hai 2019 (3) Tháng Chín 2019 (1) Tháng Tám 2019 (1) Tháng Năm 2019 (3) Tháng Ba 2019 (1) Tháng Một 2019 (3) Tháng Mười Một 2018 (2) Tháng Mười 2018 (2) Tháng Chín 2018 (7) Tháng Tám 2018 (2) Tháng Tư 2018 (3) Tháng Ba 2018 (6) Tháng Mười 2013 (1)

CHUYÊN MỤC

  • Article (75)
  • Bài học cuộc sống (1)
  • Dự án (16)
  • Đào tạo (92)
  • Đầu tư (5)
  • Ebooks (20)
  • ESP8266 (7)
  • FRDM-KL46Z (7)
  • Hệ thống IoT (9)
  • Hệ thống nhúng (64)
  • Khóa luận tốt nghiệp (18)
  • Lập trình Android (6)
  • MUSRA Design (15)
  • News (4)
  • Proteus (1)
  • Reconfigurable NoC (1)
  • STEM (2)
  • Thiết kế LSI (45)
  • Tiền điện tử (3)
  • Uncategorized (3)
  • Verilog (2)
  • VHDL (15)

Calendar

Tháng Năm 2020
H B T N S B C
123
45678910
11121314151617
18192021222324
25262728293031
« Th4 Th7 » × ×

Cart

Từ khóa » Học Vhdl